-- Descripción de flujo de datos en VHDL de un multiplexor de dos canales de entrada -- con la instrucción “WITH-SELECT” ------------------------------------------------------------------------ LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ------------------------------------------------------------------------ -- Definición de la entidad de un multiplexor de dos canales ENTITY multiplexor_dos_canales IS PORT (D0,D1,S : IN std_logic; Q : OUT std_logic); END multiplexor_dos canales; --------------------------------------------------------------------------------- -- Definición de la arquitectura de flujo de datos -- de un multiplexor de dos canales -- con la instrucción “WITH-SELECT” ARCHITECTURE flujo_de_datos OF multiplexor_dos canales IS BEGIN WITH (S) SELECT Q <= D0 WHEN '1'; D1 WHEN '0'; END flujo_de_datos;